X-Git-Url: https://mograsim.net/gitweb/?a=blobdiff_plain;f=era.mi%2Fsrc%2Fera%2Fmi%2Flogic%2Ftests%2FComponentTest.java;h=47c28e3f69eee301255ed093cbd42677c2e73345;hb=4712d3e6ee08461b7754dbfba1c9e82372bb474d;hp=0638cf8a22a8c5c9cd363f7bb61b2eec805cd6ff;hpb=ebe86d1517aea138ffb6485b7bd2dff31fdb1253;p=Mograsim.git diff --git a/era.mi/src/era/mi/logic/tests/ComponentTest.java b/era.mi/src/era/mi/logic/tests/ComponentTest.java index 0638cf8a..47c28e3f 100644 --- a/era.mi/src/era/mi/logic/tests/ComponentTest.java +++ b/era.mi/src/era/mi/logic/tests/ComponentTest.java @@ -3,246 +3,371 @@ package era.mi.logic.tests; import static org.junit.jupiter.api.Assertions.*; import java.util.Arrays; +import java.util.function.LongConsumer; import org.junit.jupiter.api.Test; import era.mi.logic.Bit; import era.mi.logic.Simulation; -import era.mi.logic.components.Merger2; +import era.mi.logic.components.Demux; +import era.mi.logic.components.Merger; import era.mi.logic.components.Mux; -import era.mi.logic.components.Mux2; import era.mi.logic.components.Splitter; +import era.mi.logic.components.TriStateBuffer; import era.mi.logic.components.gates.AndGate; import era.mi.logic.components.gates.NotGate; import era.mi.logic.components.gates.OrGate; +import era.mi.logic.components.gates.XorGate; import era.mi.logic.wires.WireArray; -import era.mi.logic.wires.WireArray.WireArrayInput; +import era.mi.logic.wires.WireArray.WireArrayEnd; class ComponentTest { -// @Test -// void circuitExampleTest() -// { -// Simulation.TIMELINE.reset(); -// WireArray a = new WireArray(1, 1), b = new WireArray(1, 1), c = new WireArray(1, 10), d = new WireArray(2, 1), e = new WireArray(1, 1), -// f = new WireArray(1, 1), g = new WireArray(1, 1), h = new WireArray(2, 1), i = new WireArray(2, 1), j = new WireArray(1, 1), k = new WireArray(1, 1); -// new AndGate(1, a, b, f); -// new NotGate(1, f, g); -// new Merger2(h, c, g); -// new Mux(1, h, d, e, i); -// new Splitter(i, k, j); -// -// a.createInput().feedSignals(Bit.ZERO); -// b.createInput().feedSignals(Bit.ONE); -// c.createInput().feedSignals(Bit.ZERO); -// d.createInput().feedSignals(Bit.ONE, Bit.ONE); -// e.createInput().feedSignals(Bit.ONE); -// -// while(Simulation.TIMELINE.hasNext()) -// { -// Simulation.TIMELINE.executeNext(); -// } -// -// assertEquals(Simulation.TIMELINE.getSimulationTime(), 14); -// assertEquals(Bit.ONE, j.getValue()); -// assertEquals(Bit.ZERO, k.getValue()); -// } -// -// @Test -// void splitterTest() -// { -// Simulation.TIMELINE.reset(); -// WireArray a = new WireArray(3, 1), b = new WireArray(2, 1), c = new WireArray(3, 1), in = new WireArray(8, 1); -// in.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO,Bit.ONE, Bit.ZERO, Bit.ONE); -// new Splitter(in, a, b, c); -// -// while(Simulation.TIMELINE.hasNext()) -// { -// Simulation.TIMELINE.executeNext(); -// } -// -// assertTrue(Arrays.equals(a.getValues(), new Bit[] { Bit.ZERO, Bit.ONE, Bit.ZERO })); -// assertTrue(Arrays.equals(b.getValues(), new Bit[] { Bit.ONE, Bit.ZERO })); -// assertTrue(Arrays.equals(c.getValues(), new Bit[] { Bit.ONE, Bit.ZERO, Bit.ONE })); -// } -// -// @Test -// void mergerTest() -// { -// Simulation.TIMELINE.reset(); -// WireArray a = new WireArray(3, 1), b = new WireArray(2, 1), c = new WireArray(3, 1), out = new WireArray(8, 1); -// a.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO); -// b.createInput().feedSignals(Bit.ONE, Bit.ZERO); -// c.createInput().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE); -// -// new Merger2(out, a, b, c); -// -// while(Simulation.TIMELINE.hasNext()) -// { -// Simulation.TIMELINE.executeNext(); -// } -// -// assertTrue(Arrays.equals(out.getValues(), new Bit[] { Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE })); -// } - + @Test + void circuitExampleTest() + { + Simulation.TIMELINE.reset(); + WireArray a = new WireArray(1, 1), b = new WireArray(1, 1), c = new WireArray(1, 10), d = new WireArray(2, 1), + e = new WireArray(1, 1), f = new WireArray(1, 1), g = new WireArray(1, 1), h = new WireArray(2, 1), i = new WireArray(2, 1), + j = new WireArray(1, 1), k = new WireArray(1, 1); + new AndGate(1, f, a, b); + new NotGate(1, f, g); + new Merger(h, c, g); + new Mux(1, i, e, h, d); + new Splitter(i, k, j); + + a.createInput().feedSignals(Bit.ZERO); + b.createInput().feedSignals(Bit.ONE); + c.createInput().feedSignals(Bit.ZERO); + d.createInput().feedSignals(Bit.ONE, Bit.ONE); + e.createInput().feedSignals(Bit.ZERO); + + Simulation.TIMELINE.executeAll(); + + assertEquals(Bit.ONE, j.getValue()); + assertEquals(Bit.ZERO, k.getValue()); + } + + @Test + void splitterTest() + { + Simulation.TIMELINE.reset(); + WireArray a = new WireArray(3, 1), b = new WireArray(2, 1), c = new WireArray(3, 1), in = new WireArray(8, 1); + in.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); + new Splitter(in, a, b, c); + + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(a.getValues(), Bit.ZERO, Bit.ONE, Bit.ZERO); + assertBitArrayEquals(b.getValues(), Bit.ONE, Bit.ZERO); + assertBitArrayEquals(c.getValues(), Bit.ONE, Bit.ZERO, Bit.ONE); + } + + @Test + void mergerTest() + { + Simulation.TIMELINE.reset(); + WireArray a = new WireArray(3, 1), b = new WireArray(2, 1), c = new WireArray(3, 1), out = new WireArray(8, 1); + a.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO); + b.createInput().feedSignals(Bit.ONE, Bit.ZERO); + c.createInput().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE); + + new Merger(out, a, b, c); + + Simulation.TIMELINE.executeAll(); + + assertTrue( + Arrays.equals(out.getValues(), new Bit[] { Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE })); + } + + @Test + void triStateBufferTest() + { + WireArray a = new WireArray(1, 1), b = new WireArray(1, 1), en = new WireArray(1, 1), notEn = new WireArray(1, 1); + new NotGate(1, en, notEn); + new TriStateBuffer(1, a, b, en); + new TriStateBuffer(1, b, a, notEn); + + WireArrayEnd enI = en.createInput(), aI = a.createInput(), bI = b.createInput(); + enI.feedSignals(Bit.ONE); + aI.feedSignals(Bit.ONE); + + Simulation.TIMELINE.executeAll(); + + assertEquals(Bit.ONE, b.getValue()); + + bI.feedSignals(Bit.ZERO); + + Simulation.TIMELINE.executeAll(); + + assertEquals(Bit.X, b.getValue()); + assertEquals(Bit.ONE, a.getValue()); + + aI.clearSignals(); + enI.feedSignals(Bit.ZERO); + + Simulation.TIMELINE.executeAll(); + + assertEquals(Bit.ZERO, a.getValue()); + + } + @Test void muxTest() { Simulation.TIMELINE.reset(); - WireArray a = new WireArray(1, 3), b = new WireArray(1, 2), select = new WireArray(1, 1), out = new WireArray(1, 1); - WireArrayInput selectIn = select.createInput(); - - selectIn.feedSignals(Bit.ZERO); - a.createInput().feedSignals(Bit.ONE); - b.createInput().feedSignals(Bit.ZERO); - - new Mux2(1, out, select, a, b); - assertEquals(Bit.Z, out.getValue()); - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertEquals(Bit.ONE, out.getValue()); - selectIn.feedSignals(Bit.ONE); - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertEquals(out.getValue(), Bit.ZERO); + WireArray a = new WireArray(4, 3), b = new WireArray(4, 6), c = new WireArray(4, 4), select = new WireArray(2, 5), + out = new WireArray(4, 1); + WireArrayEnd selectIn = select.createInput(); + + selectIn.feedSignals(Bit.ZERO, Bit.ZERO); + a.createInput().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO); + c.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); + + new Mux(1, out, select, a, b, c); + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(out.getValues(), Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO); + selectIn.feedSignals(Bit.ZERO, Bit.ONE); + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(out.getValues(), Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); + + selectIn.feedSignals(Bit.ONE, Bit.ONE); + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(out.getValues(), Bit.Z, Bit.Z, Bit.Z, Bit.Z); + + } + + @Test + void demuxTest() + { + Simulation.TIMELINE.reset(); + WireArray a = new WireArray(4, 3), b = new WireArray(4, 6), c = new WireArray(4, 4), select = new WireArray(2, 5), + in = new WireArray(4, 1); + WireArrayEnd selectIn = select.createInput(); + + selectIn.feedSignals(Bit.ZERO, Bit.ZERO); + in.createInput().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO); + + new Demux(1, in, select, a, b, c); + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(a.getValues(), Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO); + assertBitArrayEquals(b.getValues(), Bit.U, Bit.U, Bit.U, Bit.U); + assertBitArrayEquals(c.getValues(), Bit.U, Bit.U, Bit.U, Bit.U); + selectIn.feedSignals(Bit.ZERO, Bit.ONE); + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(a.getValues(), Bit.Z, Bit.Z, Bit.Z, Bit.Z); + assertBitArrayEquals(b.getValues(), Bit.U, Bit.U, Bit.U, Bit.U); + assertBitArrayEquals(c.getValues(), Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO); + + selectIn.feedSignals(Bit.ONE, Bit.ONE); + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(a.getValues(), Bit.Z, Bit.Z, Bit.Z, Bit.Z); + assertBitArrayEquals(b.getValues(), Bit.U, Bit.U, Bit.U, Bit.U); + assertBitArrayEquals(c.getValues(), Bit.Z, Bit.Z, Bit.Z, Bit.Z); + } @Test void andTest() { Simulation.TIMELINE.reset(); - AndGate gate = new AndGate(1, new WireArray(4, 1), new WireArray(4, 1), new WireArray(4, 1)); - gate.getA().createInput().feedSignals(Bit.ONE, Bit.ONE, Bit.ZERO, Bit.ZERO); - gate.getB().createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); - - - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - assertTrue(Arrays.equals(gate.getOut().getValues(), new Bit[] { Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ZERO })); + WireArray a = new WireArray(4, 1), b = new WireArray(4, 3), c = new WireArray(4, 1); + new AndGate(1, c, a, b); + a.createInput().feedSignals(Bit.ONE, Bit.ONE, Bit.ZERO, Bit.ZERO); + b.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); + + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(c.getValues(), Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ZERO); } - + @Test void orTest() { Simulation.TIMELINE.reset(); - OrGate gate = new OrGate(1, new WireArray(4, 1), new WireArray(4, 1), new WireArray(4, 1)); - gate.getA().createInput().feedSignals(Bit.ONE, Bit.ONE, Bit.ZERO, Bit.ZERO); - gate.getB().createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); - - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertTrue(Arrays.equals(gate.getOut().getValues(), new Bit[] { Bit.ONE, Bit.ONE, Bit.ZERO, Bit.ONE })); + WireArray a = new WireArray(4, 1), b = new WireArray(4, 3), c = new WireArray(4, 1); + new OrGate(1, c, a, b); + a.createInput().feedSignals(Bit.ONE, Bit.ONE, Bit.ZERO, Bit.ZERO); + b.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE); + + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(c.getValues(), Bit.ONE, Bit.ONE, Bit.ZERO, Bit.ONE); } - + + @Test + void xorTest() + { + Simulation.TIMELINE.reset(); + WireArray a = new WireArray(3, 1), b = new WireArray(3, 2), c = new WireArray(3, 1), d = new WireArray(3, 1); + new XorGate(1, d, a, b, c); + a.createInput().feedSignals(Bit.ZERO, Bit.ONE, Bit.ONE); + b.createInput().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE); + c.createInput().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE); + + Simulation.TIMELINE.executeAll(); + + assertBitArrayEquals(d.getValues(), Bit.ZERO, Bit.ONE, Bit.ONE); + } + @Test void rsLatchCircuitTest() { Simulation.TIMELINE.reset(); - WireArray r = new WireArray(1, 1), s = new WireArray(1, 1), t1 = new WireArray(1, 15), t2 = new WireArray(1, 1), q = new WireArray(1, 1), - nq = new WireArray(1, 1); - - new OrGate(1, r, nq, t2); - new OrGate(1, s, q, t1); + WireArray r = new WireArray(1, 1), s = new WireArray(1, 1), t1 = new WireArray(1, 15), t2 = new WireArray(1, 1), + q = new WireArray(1, 1), nq = new WireArray(1, 1); + + new OrGate(1, t2, r, nq); + new OrGate(1, t1, s, q); new NotGate(1, t2, q); new NotGate(1, t1, nq); - - WireArrayInput sIn = s.createInput(), rIn = r.createInput(); - + + WireArrayEnd sIn = s.createInput(), rIn = r.createInput(); + sIn.feedSignals(Bit.ONE); rIn.feedSignals(Bit.ZERO); - - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertEquals(q.getValue(), Bit.ONE); - assertEquals(nq.getValue(), Bit.ZERO); - + + Simulation.TIMELINE.executeAll(); + + assertEquals(Bit.ONE, q.getValue()); + assertEquals(Bit.ZERO, nq.getValue()); + sIn.feedSignals(Bit.ZERO); - - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertEquals(q.getValue(), Bit.ONE); - assertEquals(nq.getValue(), Bit.ZERO); - + + Simulation.TIMELINE.executeAll(); + assertEquals(Bit.ONE, q.getValue()); + assertEquals(Bit.ZERO, nq.getValue()); + rIn.feedSignals(Bit.ONE); - - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertEquals(q.getValue(), Bit.ZERO); - assertEquals(nq.getValue(), Bit.ONE); + + Simulation.TIMELINE.executeAll(); + + assertEquals(Bit.ZERO, q.getValue()); + assertEquals(Bit.ONE, nq.getValue()); } - + @Test void numericValueTest() { Simulation.TIMELINE.reset(); - + WireArray a = new WireArray(4, 1); a.createInput().feedSignals(Bit.ONE, Bit.ONE, Bit.ONE, Bit.ONE); - - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - - assertEquals(a.getUnsignedValue(), 15); - assertEquals(a.getSignedValue(), -1); + + Simulation.TIMELINE.executeAll(); + + assertEquals(15, a.getUnsignedValue()); + assertEquals(-1, a.getSignedValue()); } - + @Test void multipleInputs() { Simulation.TIMELINE.reset(); WireArray w = new WireArray(2, 1); - WireArrayInput wI1 = w.createInput(), wI2 = w.createInput(); + WireArrayEnd wI1 = w.createInput(), wI2 = w.createInput(); wI1.feedSignals(Bit.ONE, Bit.Z); wI2.feedSignals(Bit.Z, Bit.X); - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - assertTrue(Arrays.equals(w.getValues(), new Bit[] { Bit.ONE, Bit.X })); - + Simulation.TIMELINE.executeAll(); + assertBitArrayEquals(w.getValues(), Bit.ONE, Bit.X); + wI2.feedSignals(Bit.ZERO, Bit.Z); - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - assertTrue(Arrays.equals(w.getValues(), new Bit[] { Bit.X, Bit.Z })); - + Simulation.TIMELINE.executeAll(); + assertBitArrayEquals(w.getValues(), Bit.X, Bit.Z); + wI2.feedSignals(Bit.Z, Bit.Z); - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - assertTrue(Arrays.equals(w.getValues(), new Bit[] { Bit.ONE, Bit.Z })); - + Simulation.TIMELINE.executeAll(); + assertBitArrayEquals(w.getValues(), Bit.ONE, Bit.Z); + wI2.feedSignals(Bit.ONE, Bit.Z); - w.addObserver((i) -> fail("WireArray notified observer, although value did not change.")); - while(Simulation.TIMELINE.hasNext()) - { - Simulation.TIMELINE.executeNext(); - } - assertTrue(Arrays.equals(w.getValues(), new Bit[] { Bit.ONE, Bit.Z })); + w.addObserver((i, oldValues) -> fail("WireArray notified observer, although value did not change.")); + Simulation.TIMELINE.executeAll(); + assertBitArrayEquals(w.getValues(), Bit.ONE, Bit.Z); + } + +// @Test + void wireConnections() + { + // Nur ein Experiment, was über mehrere 'passive' Bausteine hinweg passieren würde + + Simulation.TIMELINE.reset(); + + WireArray a = new WireArray(1, 2); + WireArray b = new WireArray(1, 2); + WireArray c = new WireArray(1, 2); + WireArrayEnd aI = a.createInput(); + WireArrayEnd bI = b.createInput(); + WireArrayEnd cI = c.createInput(); + + TestBitDisplay test = new TestBitDisplay(c); + TestBitDisplay test2 = new TestBitDisplay(a); + LongConsumer print = time -> System.out.format("Time %2d\n a: %s\n b: %s\n c: %s\n", time, a, b, c); + + cI.feedSignals(Bit.ONE); + test.assertAfterSimulationIs(print, Bit.ONE); + + cI.feedSignals(Bit.X); + test.assertAfterSimulationIs(print, Bit.X); + + cI.feedSignals(Bit.X); + cI.feedSignals(Bit.Z); + test.assertAfterSimulationIs(print, Bit.Z); + + new Connector(b, c); + test.assertAfterSimulationIs(print, Bit.Z); + System.err.println("ONE"); + bI.feedSignals(Bit.ONE); + test.assertAfterSimulationIs(print, Bit.ONE); + System.err.println("ZERO"); + bI.feedSignals(Bit.ZERO); + test.assertAfterSimulationIs(print, Bit.ZERO); + System.err.println("Z"); + bI.feedSignals(Bit.Z); + test.assertAfterSimulationIs(print, Bit.Z); + + new Connector(a, b); + System.err.println("Z 2"); + aI.feedSignals(Bit.Z); + test.assertAfterSimulationIs(print, Bit.Z); + test2.assertAfterSimulationIs(Bit.Z); + System.err.println("ONE 2"); + aI.feedSignals(Bit.ONE); + test.assertAfterSimulationIs(print, Bit.ONE); + test2.assertAfterSimulationIs(Bit.ONE); + System.err.println("ZERO 2"); + aI.feedSignals(Bit.ZERO); + test.assertAfterSimulationIs(print, Bit.ZERO); + test2.assertAfterSimulationIs(Bit.ZERO); + System.err.println("Z 2 II"); + aI.feedSignals(Bit.Z); + test.assertAfterSimulationIs(print, Bit.Z); + test2.assertAfterSimulationIs(Bit.Z); + + System.err.println("No Conflict yet"); + bI.feedSignals(Bit.ONE); + test.assertAfterSimulationIs(print, Bit.ONE); + test2.assertAfterSimulationIs(Bit.ONE); + aI.feedSignals(Bit.ONE); + test.assertAfterSimulationIs(print, Bit.ONE); + test2.assertAfterSimulationIs(Bit.ONE); + System.err.println("Conflict"); + aI.feedSignals(Bit.ZERO); + test.assertAfterSimulationIs(print, Bit.X); + test2.assertAfterSimulationIs(Bit.X); + aI.feedSignals(Bit.ONE); + test.assertAfterSimulationIs(print, Bit.ONE); + test2.assertAfterSimulationIs(Bit.ONE); + } + + private static void assertBitArrayEquals(Bit[] actual, Bit... expected) + { + assertArrayEquals(expected, actual); } }