Implemented most of the Am2904. Missing: shifts, C0, component layout
[Mograsim.git] / net.mograsim.logic.model.am2900 / src / net / mograsim / logic / model / am2900 / components / am2904 / GUIAm2904RegCTInstrDecode.java
1 package net.mograsim.logic.model.am2900.components.am2904;
2
3 import static net.mograsim.logic.core.types.Bit.ONE;
4 import static net.mograsim.logic.core.types.Bit.U;
5 import static net.mograsim.logic.core.types.Bit.X;
6 import static net.mograsim.logic.core.types.Bit.ZERO;
7
8 import java.util.Map;
9
10 import net.mograsim.logic.core.types.Bit;
11 import net.mograsim.logic.core.types.BitVector;
12 import net.mograsim.logic.core.wires.Wire.ReadEnd;
13 import net.mograsim.logic.core.wires.Wire.ReadWriteEnd;
14 import net.mograsim.logic.model.model.ViewModelModifiable;
15 import net.mograsim.logic.model.model.components.atomic.SimpleRectangularHardcodedGUIComponent;
16 import net.mograsim.logic.model.model.wires.Pin;
17 import net.mograsim.logic.model.serializing.IndirectGUIComponentCreator;
18 import net.mograsim.logic.model.snippets.symbolrenderers.PinNamesSymbolRenderer.PinNamesParams.Position;
19
20 public class GUIAm2904RegCTInstrDecode extends SimpleRectangularHardcodedGUIComponent
21 {
22         public GUIAm2904RegCTInstrDecode(ViewModelModifiable model, String name)
23         {
24                 super(model, name, "Instruction\ndecode");
25                 setSize(80, 80);
26                 addPin(new Pin(this, "I", 6, 0, 40), Usage.INPUT, Position.RIGHT);
27                 // muSR MUX:
28                 // 00: 0
29                 // 01: 1
30                 // 10: M
31                 // 11: I
32                 addPin(new Pin(this, "muSR_MUX", 2, 80, 10), Usage.OUTPUT, Position.LEFT);
33                 addPin(new Pin(this, "muSR_OVRRET", 1, 80, 20), Usage.OUTPUT, Position.LEFT);
34                 addPin(new Pin(this, "muSR_CINV", 1, 80, 30), Usage.OUTPUT, Position.LEFT);
35                 addPin(new Pin(this, "muSR_WEZ", 1, 80, 40), Usage.OUTPUT, Position.LEFT);
36                 addPin(new Pin(this, "muSR_WEC", 1, 80, 50), Usage.OUTPUT, Position.LEFT);
37                 addPin(new Pin(this, "muSR_WEN", 1, 80, 60), Usage.OUTPUT, Position.LEFT);
38                 addPin(new Pin(this, "muSR_WEOVR", 1, 80, 70), Usage.OUTPUT, Position.LEFT);
39                 // MSR MUX:
40                 // 000: 0
41                 // 001: 1
42                 // 010: mu
43                 // 011: Y
44                 // 100: I
45                 // 101: I, invert C
46                 // 110: Swap OVR and C
47                 // 111: _M
48                 addPin(new Pin(this, "MSR_MUX", 3, 20, 0), Usage.OUTPUT, Position.BOTTOM);
49                 // TODO when is this HIGH?
50                 addPin(new Pin(this, "OEN", 1, 60, 0), Usage.OUTPUT, Position.BOTTOM);
51                 // CT SRC MUX:
52                 // 00: mu
53                 // 01: mu
54                 // 10: M
55                 // 11: I
56                 addPin(new Pin(this, "Y_MUX", 2, 10, 80), Usage.OUTPUT, Position.TOP);
57                 // CT MUX:
58                 // see Am2900 Family Data Book, Am2904, Table 4 (CT_MUX2-0 = I3-1)
59                 addPin(new Pin(this, "CT_MUX", 3, 30, 80), Usage.OUTPUT, Position.TOP);
60                 addPin(new Pin(this, "CT_INV", 1, 50, 80), Usage.OUTPUT, Position.TOP);
61                 addPin(new Pin(this, "CT_EXP", 1, 70, 80), Usage.OUTPUT, Position.TOP);
62         }
63
64         @Override
65         protected Object recalculate(Object lastState, Map<String, ReadEnd> readEnds, Map<String, ReadWriteEnd> readWriteEnds)
66         {
67                 Bit[] IBits = readEnds.get("I").getValues().getBits();
68                 int IAsInt = 0;
69                 for (int i = 0; i < 6; i++)
70                         switch (IBits[5 - i])
71                         {
72                         case ONE:
73                                 IAsInt |= 1 << i;
74                                 break;
75                         case U:
76                                 for (ReadWriteEnd e : readWriteEnds.values())
77                                         e.feedSignals(BitVector.of(U, e.length()));
78                                 return null;
79                         case X:
80                         case Z:
81                                 for (ReadWriteEnd e : readWriteEnds.values())
82                                         e.feedSignals(BitVector.of(X, e.length()));
83                                 return null;
84                         case ZERO:
85                                 break;
86                         default:
87                                 throw new IllegalArgumentException("Unknown enum constant: " + IBits[i]);
88                         }
89                 switch (IAsInt)
90                 {
91                 case 0:
92                 case 2:
93                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ONE);
94                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
95                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
96                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
97                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
98                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
99                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
100                         break;
101                 case 1:
102                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ZERO);
103                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
104                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
105                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
106                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
107                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
108                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
109                         break;
110                 case 3:
111                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
112                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
113                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
114                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
115                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
116                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
117                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
118                         break;
119                 case 6:
120                 case 7:
121                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ONE);
122                         readWriteEnds.get("muSR_OVRRET").feedSignals(ONE);
123                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
124                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
125                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
126                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
127                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
128                         break;
129                 case 8:
130                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
131                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
132                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
133                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
134                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
135                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
136                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
137                         break;
138                 case 9:
139                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ZERO);
140                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
141                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
142                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
143                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
144                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
145                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
146                         break;
147                 case 10:
148                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
149                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
150                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
151                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
152                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
153                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
154                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
155                         break;
156                 case 11:
157                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ZERO);
158                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
159                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
160                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
161                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
162                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
163                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
164                         break;
165                 case 12:
166                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
167                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
168                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
169                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
170                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
171                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
172                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
173                         break;
174                 case 13:
175                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ZERO);
176                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
177                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
178                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
179                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
180                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
181                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
182                         break;
183                 case 14:
184                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
185                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
186                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
187                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
188                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
189                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
190                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
191                         break;
192                 case 15:
193                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ZERO);
194                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
195                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
196                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
197                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
198                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
199                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
200                         break;
201                 case 24:
202                 case 25:
203                 case 40:
204                 case 41:
205                 case 56:
206                 case 57:
207                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ONE);
208                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
209                         readWriteEnds.get("muSR_CINV").feedSignals(ONE);
210                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
211                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
212                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
213                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
214                         break;
215                 default:
216                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ONE);
217                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
218                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
219                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
220                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
221                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
222                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
223                 }
224                 switch (IAsInt)
225                 {
226                 case 0:
227                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ONE, ZERO);
228                         break;
229                 case 1:
230                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ZERO, ZERO);
231                         break;
232                 case 2:
233                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ONE, ZERO);
234                         break;
235                 case 3:
236                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ZERO, ZERO);
237                         break;
238                 case 4:
239                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ONE, ONE);
240                         break;
241                 case 5:
242                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ONE, ONE);
243                         break;
244                 case 8:
245                 case 9:
246                 case 24:
247                 case 25:
248                 case 40:
249                 case 41:
250                 case 56:
251                 case 57:
252                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ZERO, ONE);
253                         break;
254                 default:
255                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ZERO, ONE);
256                         break;
257                 }
258                 readWriteEnds.get("Y_MUX").feedSignals(IBits[0], IBits[1]);
259                 readWriteEnds.get("CT_INV").feedSignals(IBits[5]);
260                 readWriteEnds.get("CT_MUX").feedSignals(IBits[2], IBits[3], IBits[4]);
261                 readWriteEnds.get("CT_EXP").feedSignals((IAsInt & 0b1110) == 0b1110 ? ONE : ZERO);
262                 return null;
263         }
264
265         static
266         {
267                 IndirectGUIComponentCreator.setComponentSupplier(GUIAm2904RegCTInstrDecode.class.getCanonicalName(),
268                                 (m, p, n) -> new GUIAm2904RegCTInstrDecode(m, n));
269         }
270 }