Remove legacy, broken Connector; rename Merger&Splitter to avoid mixups
[Mograsim.git] / net.mograsim.logic.core / test / net / mograsim / logic / core / tests / ComponentTest.java
index d0ae8c5..464db58 100644 (file)
@@ -4,19 +4,15 @@ import static org.junit.jupiter.api.Assertions.assertArrayEquals;
 import static org.junit.jupiter.api.Assertions.assertEquals;
 import static org.junit.jupiter.api.Assertions.fail;
 
-import java.math.BigInteger;
-import java.util.Random;
-import java.util.function.LongConsumer;
-
-import org.junit.Before;
+import org.junit.jupiter.api.BeforeEach;
+import org.junit.jupiter.api.Disabled;
 import org.junit.jupiter.api.Test;
 
-import net.mograsim.logic.core.components.Connector;
 import net.mograsim.logic.core.components.Demux;
-import net.mograsim.logic.core.components.Merger;
 import net.mograsim.logic.core.components.Mux;
-import net.mograsim.logic.core.components.Splitter;
 import net.mograsim.logic.core.components.TriStateBuffer;
+import net.mograsim.logic.core.components.UnidirectionalMerger;
+import net.mograsim.logic.core.components.UnidirectionalSplitter;
 import net.mograsim.logic.core.components.gates.AndGate;
 import net.mograsim.logic.core.components.gates.NandGate;
 import net.mograsim.logic.core.components.gates.NorGate;
@@ -35,7 +31,7 @@ class ComponentTest
 {
        private Timeline t = new Timeline(11);
 
-       @Before
+       @BeforeEach
        void resetTimeline()
        {
                t.reset();
@@ -49,9 +45,9 @@ class ComponentTest
                                k = new Wire(t, 1, 1);
                new AndGate(t, 1, f.createReadWriteEnd(), a.createReadOnlyEnd(), b.createReadOnlyEnd());
                new NotGate(t, 1, f.createReadOnlyEnd(), g.createReadWriteEnd());
-               new Merger(t, h.createReadWriteEnd(), c.createReadOnlyEnd(), g.createReadOnlyEnd());
+               new UnidirectionalMerger(t, h.createReadWriteEnd(), c.createReadOnlyEnd(), g.createReadOnlyEnd());
                new Mux(t, 1, i.createReadWriteEnd(), e.createReadOnlyEnd(), h.createReadOnlyEnd(), d.createReadOnlyEnd());
-               new Splitter(t, i.createReadOnlyEnd(), k.createReadWriteEnd(), j.createReadWriteEnd());
+               new UnidirectionalSplitter(t, i.createReadOnlyEnd(), k.createReadWriteEnd(), j.createReadWriteEnd());
 
                a.createReadWriteEnd().feedSignals(Bit.ZERO);
                b.createReadWriteEnd().feedSignals(Bit.ONE);
@@ -70,7 +66,7 @@ class ComponentTest
        {
                Wire a = new Wire(t, 3, 1), b = new Wire(t, 2, 1), c = new Wire(t, 3, 1), in = new Wire(t, 8, 1);
                in.createReadWriteEnd().feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE, Bit.ZERO, Bit.ONE);
-               new Splitter(t, in.createReadOnlyEnd(), a.createReadWriteEnd(), b.createReadWriteEnd(), c.createReadWriteEnd());
+               new UnidirectionalSplitter(t, in.createReadOnlyEnd(), a.createReadWriteEnd(), b.createReadWriteEnd(), c.createReadWriteEnd());
 
                t.executeAll();
 
@@ -87,7 +83,7 @@ class ComponentTest
                b.createReadWriteEnd().feedSignals(Bit.ONE, Bit.ZERO);
                c.createReadWriteEnd().feedSignals(Bit.ONE, Bit.ZERO, Bit.ONE);
 
-               new Merger(t, out.createReadWriteEnd(), a.createReadOnlyEnd(), b.createReadOnlyEnd(), c.createReadOnlyEnd());
+               new UnidirectionalMerger(t, out.createReadWriteEnd(), a.createReadOnlyEnd(), b.createReadOnlyEnd(), c.createReadOnlyEnd());
 
                t.executeAll();
 
@@ -98,9 +94,9 @@ class ComponentTest
        void fusionTest1()
        {
                Wire a = new Wire(t, 3, 1), b = new Wire(t, 2, 1), c = new Wire(t, 3, 1), out = new Wire(t, 8, 1);
-               Wire.fuse(a, out, 0, 0, a.length);
-               Wire.fuse(b, out, 0, a.length, b.length);
-               Wire.fuse(c, out, 0, a.length + b.length, c.length);
+               Wire.fuse(a, out, 0, 0, a.width);
+               Wire.fuse(b, out, 0, a.width, b.width);
+               Wire.fuse(c, out, 0, a.width + b.width, c.width);
                ReadWriteEnd rA = a.createReadWriteEnd();
                rA.feedSignals(Bit.ZERO, Bit.ONE, Bit.ZERO);
                ReadWriteEnd rB = b.createReadWriteEnd();
@@ -149,6 +145,18 @@ class ComponentTest
                assertBitArrayEquals(b.getValues(), Bit.Z, Bit.U, Bit.X);
        }
 
+       @Test
+       void fusionTest4()
+       {
+               Wire a = new Wire(t, 3, 1), b = new Wire(t, 3, 1);
+               a.createReadWriteEnd();
+               t.executeAll();
+
+               Wire.fuse(a, b);
+               t.executeAll();
+               assertBitArrayEquals(b.getValues(), Bit.U, Bit.U, Bit.U);
+       }
+
 //     @Test
 //     void connectorTest()
 //     {
@@ -416,6 +424,8 @@ class ComponentTest
                        fail("Not all events were executed in order!");
        }
 
+       // TODO: Adapt this test, now that update notifications are issued whenever any input to a wire changes
+       @Disabled("Out of date")
        @Test
        void multipleInputs()
        {
@@ -446,78 +456,6 @@ class ComponentTest
                assertBitArrayEquals(w.getValues(), Bit.ONE, Bit.Z);
        }
 
-       @Test
-       void wireConnections()
-       {
-               // Nur ein Experiment, was über mehrere 'passive' Bausteine hinweg passieren würde
-
-               Wire a = new Wire(t, 1, 2);
-               Wire b = new Wire(t, 1, 2);
-               Wire c = new Wire(t, 1, 2);
-               ReadWriteEnd aI = a.createReadWriteEnd();
-               ReadWriteEnd bI = b.createReadWriteEnd();
-               ReadWriteEnd cI = c.createReadWriteEnd();
-
-               TestBitDisplay test = new TestBitDisplay(t, c.createReadOnlyEnd());
-               TestBitDisplay test2 = new TestBitDisplay(t, a.createReadOnlyEnd());
-               LongConsumer print = time -> System.out.format("Time %2d\n a: %s\n b: %s\n c: %s\n", time, a, b, c);
-
-               cI.feedSignals(Bit.ONE);
-               test.assertAfterSimulationIs(print, Bit.ONE);
-
-               cI.feedSignals(Bit.X);
-               test.assertAfterSimulationIs(print, Bit.X);
-
-               cI.feedSignals(Bit.X);
-               cI.feedSignals(Bit.Z);
-               test.assertAfterSimulationIs(print, Bit.Z);
-
-               new Connector(t, b.createReadWriteEnd(), c.createReadWriteEnd()).connect();
-               test.assertAfterSimulationIs(print, Bit.Z);
-               System.err.println("ONE");
-               bI.feedSignals(Bit.ONE);
-               test.assertAfterSimulationIs(print, Bit.ONE);
-               System.err.println("ZERO");
-               bI.feedSignals(Bit.ZERO);
-               test.assertAfterSimulationIs(print, Bit.ZERO);
-               System.err.println("Z");
-               bI.feedSignals(Bit.Z);
-               test.assertAfterSimulationIs(print, Bit.Z);
-
-               new Connector(t, a.createReadWriteEnd(), b.createReadWriteEnd()).connect();
-               System.err.println("Z 2");
-               aI.feedSignals(Bit.Z);
-               test.assertAfterSimulationIs(print, Bit.Z);
-               test2.assertAfterSimulationIs(Bit.Z);
-               System.err.println("ONE 2");
-               aI.feedSignals(Bit.ONE);
-               test.assertAfterSimulationIs(print, Bit.ONE);
-               test2.assertAfterSimulationIs(Bit.ONE);
-               System.err.println("ZERO 2");
-               aI.feedSignals(Bit.ZERO);
-               test.assertAfterSimulationIs(print, Bit.ZERO);
-               test2.assertAfterSimulationIs(Bit.ZERO);
-               System.err.println("Z 2 II");
-               aI.feedSignals(Bit.Z);
-               test.assertAfterSimulationIs(print, Bit.Z);
-               test2.assertAfterSimulationIs(Bit.Z);
-
-               System.err.println("No Conflict yet");
-               bI.feedSignals(Bit.ONE);
-               test.assertAfterSimulationIs(print, Bit.ONE);
-               test2.assertAfterSimulationIs(Bit.ONE);
-               aI.feedSignals(Bit.ONE);
-               test.assertAfterSimulationIs(print, Bit.ONE);
-               test2.assertAfterSimulationIs(Bit.ONE);
-               System.err.println("Conflict");
-               aI.feedSignals(Bit.ZERO);
-               test.assertAfterSimulationIs(print, Bit.X);
-               test2.assertAfterSimulationIs(Bit.X);
-               aI.feedSignals(Bit.ONE);
-               test.assertAfterSimulationIs(print, Bit.ONE);
-               test2.assertAfterSimulationIs(Bit.ONE);
-       }
-
        private static void assertBitArrayEquals(BitVector actual, Bit... expected)
        {
                assertArrayEquals(expected, actual.getBits());