Fixed a bug in Am2900; created dlatch8/80; relayouted some components
[Mograsim.git] / net.mograsim.logic.model.am2900 / src / net / mograsim / logic / model / am2900 / components / am2904 / ModelAm2904RegCTInstrDecode.java
1 package net.mograsim.logic.model.am2900.components.am2904;
2
3 import static net.mograsim.logic.core.types.Bit.ONE;
4 import static net.mograsim.logic.core.types.Bit.U;
5 import static net.mograsim.logic.core.types.Bit.X;
6 import static net.mograsim.logic.core.types.Bit.ZERO;
7
8 import java.util.Map;
9
10 import net.mograsim.logic.core.types.Bit;
11 import net.mograsim.logic.core.types.BitVector;
12 import net.mograsim.logic.core.wires.CoreWire.ReadEnd;
13 import net.mograsim.logic.core.wires.CoreWire.ReadWriteEnd;
14 import net.mograsim.logic.model.model.LogicModelModifiable;
15 import net.mograsim.logic.model.model.components.atomic.SimpleRectangularHardcodedModelComponent;
16 import net.mograsim.logic.model.model.wires.Pin;
17 import net.mograsim.logic.model.model.wires.PinUsage;
18 import net.mograsim.logic.model.serializing.IndirectModelComponentCreator;
19 import net.mograsim.logic.model.snippets.symbolrenderers.PinNamesSymbolRenderer.PinNamesParams.Position;
20
21 public class ModelAm2904RegCTInstrDecode extends SimpleRectangularHardcodedModelComponent
22 {
23         public ModelAm2904RegCTInstrDecode(LogicModelModifiable model, String name)
24         {
25                 super(model, "Am2904RegCTInstrDecode", name, "Instruction\ndecode", false);
26                 setSize(80, 80);
27                 addPin(new Pin(model, this, "I12-11", 2, PinUsage.INPUT, 0, 30), Position.RIGHT);
28                 addPin(new Pin(model, this, "I5-0", 6, PinUsage.INPUT, 0, 50), Position.RIGHT);
29                 // muSR MUX:
30                 // 00: 0
31                 // 01: 1
32                 // 10: M
33                 // 11: I
34                 addPin(new Pin(model, this, "muSR_MUX", 2, PinUsage.OUTPUT, 80, 10), Position.LEFT);
35                 addPin(new Pin(model, this, "muSR_OVRRET", 1, PinUsage.OUTPUT, 80, 20), Position.LEFT);
36                 addPin(new Pin(model, this, "muSR_CINV", 1, PinUsage.OUTPUT, 80, 30), Position.LEFT);
37                 addPin(new Pin(model, this, "muSR_WEZ", 1, PinUsage.OUTPUT, 80, 40), Position.LEFT);
38                 addPin(new Pin(model, this, "muSR_WEC", 1, PinUsage.OUTPUT, 80, 50), Position.LEFT);
39                 addPin(new Pin(model, this, "muSR_WEN", 1, PinUsage.OUTPUT, 80, 60), Position.LEFT);
40                 addPin(new Pin(model, this, "muSR_WEOVR", 1, PinUsage.OUTPUT, 80, 70), Position.LEFT);
41                 // MSR MUX:
42                 // 000: 0
43                 // 001: 1
44                 // 010: mu
45                 // 011: Y
46                 // 100: I
47                 // 101: I, invert C
48                 // 110: Swap OVR and C
49                 // 111: _M
50                 addPin(new Pin(model, this, "MSR_MUX", 3, PinUsage.OUTPUT, 20, 0), Position.BOTTOM);
51                 addPin(new Pin(model, this, "OEN", 1, PinUsage.OUTPUT, 60, 0), Position.BOTTOM);
52                 // Y MUX:
53                 // 00: mu
54                 // 01: mu
55                 // 10: M
56                 // 11: I
57                 addPin(new Pin(model, this, "Y_MUX", 2, PinUsage.OUTPUT, 10, 80), Position.TOP);
58                 // CT MUX:
59                 // see Am2900 Family Data Book, Am2904, Table 4 (CT_MUX2-0 = I3-1)
60                 addPin(new Pin(model, this, "CT_MUX", 3, PinUsage.OUTPUT, 30, 80), Position.TOP);
61                 addPin(new Pin(model, this, "CT_INV", 1, PinUsage.OUTPUT, 40, 80), Position.TOP);
62                 addPin(new Pin(model, this, "CT_EXP", 1, PinUsage.OUTPUT, 50, 80), Position.TOP);
63                 // C0 MUX:
64                 // 00xx: 0
65                 // 01xx: 1
66                 // 10xx: CX
67                 // 1100: muC
68                 // 1101: _muC
69                 // 1110: MC
70                 // 1111: _MC
71                 addPin(new Pin(model, this, "C0_MUX", 4, PinUsage.OUTPUT, 70, 80), Position.TOP);
72
73                 init();
74         }
75
76         @Override
77         public Object recalculate(Object lastState, Map<String, ReadEnd> readEnds, Map<String, ReadWriteEnd> readWriteEnds)
78         {
79                 Bit[] I5_0Bits = readEnds.get("I5-0").getValues().getBits();
80                 Bit[] I12_11Bits = readEnds.get("I12-11").getValues().getBits();
81                 int IAsInt = 0;
82                 for (int i = 0; i < 6; i++)
83                         switch (I5_0Bits[5 - i])
84                         {
85                         case ONE:
86                                 IAsInt |= 1 << i;
87                                 break;
88                         case U:
89                                 for (ReadWriteEnd e : readWriteEnds.values())
90                                         e.feedSignals(BitVector.of(U, e.width()));
91                                 return null;
92                         case X:
93                         case Z:
94                                 for (ReadWriteEnd e : readWriteEnds.values())
95                                         e.feedSignals(BitVector.of(X, e.width()));
96                                 return null;
97                         case ZERO:
98                                 break;
99                         default:
100                                 throw new IllegalArgumentException("Unknown enum constant: " + I5_0Bits[i]);
101                         }
102                 switch (IAsInt)
103                 {
104                 case 0:
105                 case 2:
106                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ZERO);
107                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
108                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
109                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
110                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
111                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
112                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
113                         break;
114                 case 1:
115                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ONE);
116                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
117                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
118                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
119                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
120                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
121                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
122                         break;
123                 case 3:
124                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
125                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
126                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
127                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
128                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
129                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
130                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
131                         break;
132                 case 6:
133                 case 7:
134                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ONE);
135                         readWriteEnds.get("muSR_OVRRET").feedSignals(ONE);
136                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
137                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
138                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
139                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
140                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
141                         break;
142                 case 8:
143                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
144                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
145                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
146                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
147                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
148                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
149                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
150                         break;
151                 case 9:
152                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ONE);
153                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
154                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
155                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
156                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
157                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
158                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
159                         break;
160                 case 10:
161                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
162                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
163                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
164                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
165                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
166                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
167                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
168                         break;
169                 case 11:
170                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ONE);
171                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
172                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
173                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
174                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
175                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
176                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
177                         break;
178                 case 12:
179                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
180                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
181                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
182                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
183                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
184                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
185                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
186                         break;
187                 case 13:
188                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ONE);
189                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
190                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
191                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
192                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
193                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
194                         readWriteEnds.get("muSR_WEOVR").feedSignals(ZERO);
195                         break;
196                 case 14:
197                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ZERO);
198                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
199                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
200                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
201                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
202                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
203                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
204                         break;
205                 case 15:
206                         readWriteEnds.get("muSR_MUX").feedSignals(ZERO, ONE);
207                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
208                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
209                         readWriteEnds.get("muSR_WEZ").feedSignals(ZERO);
210                         readWriteEnds.get("muSR_WEC").feedSignals(ZERO);
211                         readWriteEnds.get("muSR_WEN").feedSignals(ZERO);
212                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
213                         break;
214                 case 24:
215                 case 25:
216                 case 40:
217                 case 41:
218                 case 56:
219                 case 57:
220                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ONE);
221                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
222                         readWriteEnds.get("muSR_CINV").feedSignals(ONE);
223                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
224                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
225                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
226                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
227                         break;
228                 default:
229                         readWriteEnds.get("muSR_MUX").feedSignals(ONE, ONE);
230                         readWriteEnds.get("muSR_OVRRET").feedSignals(ZERO);
231                         readWriteEnds.get("muSR_CINV").feedSignals(ZERO);
232                         readWriteEnds.get("muSR_WEZ").feedSignals(ONE);
233                         readWriteEnds.get("muSR_WEC").feedSignals(ONE);
234                         readWriteEnds.get("muSR_WEN").feedSignals(ONE);
235                         readWriteEnds.get("muSR_WEOVR").feedSignals(ONE);
236                 }
237                 switch (IAsInt)
238                 {
239                 case 0:
240                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ONE, ONE);
241                         break;
242                 case 1:
243                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ZERO, ONE);
244                         break;
245                 case 2:
246                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ONE, ZERO);
247                         break;
248                 case 3:
249                         readWriteEnds.get("MSR_MUX").feedSignals(ZERO, ZERO, ZERO);
250                         break;
251                 case 4:
252                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ONE, ZERO);
253                         break;
254                 case 5:
255                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ONE, ONE);
256                         break;
257                 case 8:
258                 case 9:
259                 case 24:
260                 case 25:
261                 case 40:
262                 case 41:
263                 case 56:
264                 case 57:
265                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ZERO, ONE);
266                         break;
267                 default:
268                         readWriteEnds.get("MSR_MUX").feedSignals(ONE, ZERO, ZERO);
269                         break;
270                 }
271                 readWriteEnds.get("OEN").feedSignals(I5_0Bits[0].or(I5_0Bits[1]).or(I5_0Bits[2]).or(I5_0Bits[3]).or(I5_0Bits[4]).or(I5_0Bits[5]));
272                 readWriteEnds.get("Y_MUX").feedSignals(I5_0Bits[0], I5_0Bits[1]);
273                 readWriteEnds.get("CT_INV").feedSignals(I5_0Bits[5]);
274                 readWriteEnds.get("CT_MUX").feedSignals(I5_0Bits[2], I5_0Bits[3], I5_0Bits[4]);
275                 readWriteEnds.get("CT_EXP").feedSignals((IAsInt & 0b111110) == 0b001110 ? ONE : ZERO);
276                 readWriteEnds.get("C0_MUX").feedSignals(I12_11Bits[0], I12_11Bits[1], I5_0Bits[0],
277                                 I5_0Bits[2].and(I5_0Bits[3].not()).and(I5_0Bits[4].not()));
278                 return null;
279         }
280
281         static
282         {
283                 IndirectModelComponentCreator.setComponentSupplier(ModelAm2904RegCTInstrDecode.class.getCanonicalName(),
284                                 (m, p, n) -> new ModelAm2904RegCTInstrDecode(m, n));
285         }
286 }